Skip to content
GitLab
Menu
Projects
Groups
Snippets
/
Help
Help
Support
Community forum
Keyboard shortcuts
?
Submit feedback
Contribute to GitLab
Sign in
Toggle navigation
Menu
Open sidebar
Schoko
hwp
Commits
9e408915
Commit
9e408915
authored
Jan 22, 2018
by
Schoko
Browse files
misterSimpel
parent
d41bfd06
Changes
1
Hide whitespace changes
Inline
Side-by-side
Steffen/GoldVersion.circ
0 → 100644
View file @
9e408915
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project
source=
"2.14.2"
version=
"1.0"
>
This file is intended to be loaded by Logisim-evolution (https://github.com/reds-heig/logisim-evolution).
<lib
desc=
"#Wiring"
name=
"0"
>
<tool
name=
"Splitter"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"appear"
val=
"center"
/>
</tool>
<tool
name=
"Pin"
>
<a
name=
"facing"
val=
"north"
/>
</tool>
<tool
name=
"Probe"
>
<a
name=
"facing"
val=
"north"
/>
</tool>
<tool
name=
"Pull Resistor"
>
<a
name=
"facing"
val=
"north"
/>
</tool>
<tool
name=
"Clock"
>
<a
name=
"facing"
val=
"north"
/>
</tool>
<tool
name=
"Constant"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"value"
val=
"0x0"
/>
</tool>
</lib>
<lib
desc=
"#Gates"
name=
"1"
>
<tool
name=
"NOT Gate"
>
<a
name=
"facing"
val=
"north"
/>
<a
name=
"size"
val=
"20"
/>
</tool>
<tool
name=
"AND Gate"
>
<a
name=
"facing"
val=
"north"
/>
<a
name=
"size"
val=
"30"
/>
</tool>
<tool
name=
"NAND Gate"
>
<a
name=
"facing"
val=
"north"
/>
<a
name=
"size"
val=
"30"
/>
</tool>
<tool
name=
"NOR Gate"
>
<a
name=
"facing"
val=
"north"
/>
<a
name=
"size"
val=
"30"
/>
</tool>
<tool
name=
"XOR Gate"
>
<a
name=
"facing"
val=
"north"
/>
<a
name=
"size"
val=
"30"
/>
</tool>
</lib>
<lib
desc=
"#Plexers"
name=
"2"
>
<tool
name=
"Multiplexer"
>
<a
name=
"enable"
val=
"false"
/>
</tool>
<tool
name=
"Demultiplexer"
>
<a
name=
"enable"
val=
"false"
/>
</tool>
</lib>
<lib
desc=
"#Arithmetic"
name=
"3"
/>
<lib
desc=
"#Memory"
name=
"4"
>
<tool
name=
"ROM"
>
<a
name=
"contents"
>
addr/data: 8 8
0
</a>
</tool>
</lib>
<lib
desc=
"#I/O"
name=
"5"
/>
<lib
desc=
"#HDL-IP"
name=
"6"
>
<tool
name=
"VHDL Entity"
>
<a
name=
"content"
>
--------------------------------------------------------------------------------
-- HEIG-VD, institute REDS, 1400 Yverdon-les-Bains
-- Project :
-- File :
-- Autor :
-- Date :
--
--------------------------------------------------------------------------------
-- Description :
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--use ieee.numeric_std.all;
entity VHDL_Component is
port(
------------------------------------------------------------------------------
--Insert input ports below
horloge_i : in std_logic; -- input bit example
val_i : in std_logic_vector(3 downto 0); -- input vector example
------------------------------------------------------------------------------
--Insert output ports below
max_o : out std_logic; -- output bit example
cpt_o : out std_logic_Vector(3 downto 0) -- output vector example
);
end VHDL_Component;
--------------------------------------------------------------------------------
--Complete your VHDL description below
architecture type_architecture of VHDL_Component is
begin
end type_architecture;
</a>
</tool>
</lib>
<lib
desc=
"#TCL"
name=
"7"
>
<tool
name=
"TclGeneric"
>
<a
name=
"content"
>
library ieee;
use ieee.std_logic_1164.all;
entity TCL_Generic is
port(
--Insert input ports below
horloge_i : in std_logic; -- input bit example
val_i : in std_logic_vector(3 downto 0); -- input vector example
--Insert output ports below
max_o : out std_logic; -- output bit example
cpt_o : out std_logic_Vector(3 downto 0) -- output vector example
);
end TCL_Generic;
</a>
</tool>
</lib>
<lib
desc=
"#Base"
name=
"8"
>
<tool
name=
"Text Tool"
>
<a
name=
"text"
val=
""
/>
<a
name=
"font"
val=
"SansSerif plain 12"
/>
<a
name=
"halign"
val=
"left"
/>
<a
name=
"valign"
val=
"base"
/>
</tool>
</lib>
<lib
desc=
"#BFH-Praktika"
name=
"9"
/>
<lib
desc=
"file#..\logi7400.circ"
name=
"10"
/>
<lib
desc=
"file#..\74181 v3.circ"
name=
"11"
/>
<lib
desc=
"file#..\74181v4.circ"
name=
"12"
/>
<lib
desc=
"file#..\ram_vorschaltung.circ"
name=
"13"
/>
<main
name=
"main"
/>
<options>
<a
name=
"gateUndefined"
val=
"ignore"
/>
<a
name=
"simlimit"
val=
"1000"
/>
<a
name=
"simrand"
val=
"0"
/>
<a
name=
"tickmain"
val=
"half_period"
/>
</options>
<mappings>
<tool
lib=
"8"
map=
"Button2"
name=
"Menu Tool"
/>
<tool
lib=
"8"
map=
"Button3"
name=
"Menu Tool"
/>
<tool
lib=
"8"
map=
"Ctrl Button1"
name=
"Menu Tool"
/>
</mappings>
<toolbar>
<tool
lib=
"8"
name=
"Poke Tool"
/>
<tool
lib=
"8"
name=
"Edit Tool"
/>
<tool
lib=
"8"
name=
"Text Tool"
>
<a
name=
"text"
val=
""
/>
<a
name=
"font"
val=
"SansSerif plain 12"
/>
<a
name=
"halign"
val=
"left"
/>
<a
name=
"valign"
val=
"base"
/>
</tool>
<sep/>
<tool
lib=
"0"
name=
"Pin"
/>
<tool
lib=
"0"
name=
"Pin"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"output"
val=
"true"
/>
<a
name=
"labelloc"
val=
"east"
/>
</tool>
<tool
lib=
"1"
name=
"NOT Gate"
/>
<tool
lib=
"1"
name=
"AND Gate"
/>
<tool
lib=
"1"
name=
"OR Gate"
/>
</toolbar>
<circuit
name=
"main"
>
<a
name=
"circuit"
val=
"main"
/>
<a
name=
"clabel"
val=
""
/>
<a
name=
"clabelup"
val=
"east"
/>
<a
name=
"clabelfont"
val=
"SansSerif bold 16"
/>
<a
name=
"circuitnamedbox"
val=
"true"
/>
<a
name=
"circuitvhdlpath"
val=
""
/>
<wire
from=
"(2000,800)"
to=
"(2100,800)"
/>
<wire
from=
"(1950,270)"
to=
"(1950,300)"
/>
<wire
from=
"(830,230)"
to=
"(830,240)"
/>
<wire
from=
"(1760,360)"
to=
"(1860,360)"
/>
<wire
from=
"(1110,170)"
to=
"(1280,170)"
/>
<wire
from=
"(1470,500)"
to=
"(1470,580)"
/>
<wire
from=
"(640,400)"
to=
"(690,400)"
/>
<wire
from=
"(1770,160)"
to=
"(1770,240)"
/>
<wire
from=
"(1090,490)"
to=
"(1190,490)"
/>
<wire
from=
"(1760,440)"
to=
"(1760,460)"
/>
<wire
from=
"(1930,160)"
to=
"(2040,160)"
/>
<wire
from=
"(930,90)"
to=
"(930,120)"
/>
<wire
from=
"(1860,460)"
to=
"(1910,460)"
/>
<wire
from=
"(2050,150)"
to=
"(2050,290)"
/>
<wire
from=
"(1390,660)"
to=
"(1640,660)"
/>
<wire
from=
"(800,90)"
to=
"(840,90)"
/>
<wire
from=
"(770,800)"
to=
"(1450,800)"
/>
<wire
from=
"(1130,640)"
to=
"(1190,640)"
/>
<wire
from=
"(1470,230)"
to=
"(1470,500)"
/>
<wire
from=
"(1450,170)"
to=
"(1500,170)"
/>
<wire
from=
"(1140,270)"
to=
"(1140,470)"
/>
<wire
from=
"(1140,620)"
to=
"(1190,620)"
/>
<wire
from=
"(830,260)"
to=
"(850,260)"
/>
<wire
from=
"(1860,300)"
to=
"(1860,360)"
/>
<wire
from=
"(1750,740)"
to=
"(1750,860)"
/>
<wire
from=
"(770,440)"
to=
"(770,800)"
/>
<wire
from=
"(1600,680)"
to=
"(1600,740)"
/>
<wire
from=
"(2020,170)"
to=
"(2020,290)"
/>
<wire
from=
"(690,400)"
to=
"(710,400)"
/>
<wire
from=
"(1110,430)"
to=
"(1120,430)"
/>
<wire
from=
"(1780,540)"
to=
"(1780,600)"
/>
<wire
from=
"(1750,150)"
to=
"(1750,200)"
/>
<wire
from=
"(1760,360)"
to=
"(1760,410)"
/>
<wire
from=
"(1120,430)"
to=
"(1190,430)"
/>
<wire
from=
"(1790,170)"
to=
"(1870,170)"
/>
<wire
from=
"(1800,180)"
to=
"(1880,180)"
/>
<wire
from=
"(1880,180)"
to=
"(1960,180)"
/>
<wire
from=
"(1870,170)"
to=
"(1950,170)"
/>
<wire
from=
"(1780,540)"
to=
"(1790,540)"
/>
<wire
from=
"(800,260)"
to=
"(830,260)"
/>
<wire
from=
"(1850,160)"
to=
"(1850,270)"
/>
<wire
from=
"(690,340)"
to=
"(1780,340)"
/>
<wire
from=
"(1090,450)"
to=
"(1090,490)"
/>
<wire
from=
"(1740,160)"
to=
"(1770,160)"
/>
<wire
from=
"(920,320)"
to=
"(930,320)"
/>
<wire
from=
"(1760,460)"
to=
"(1790,460)"
/>
<wire
from=
"(1780,600)"
to=
"(1810,600)"
/>
<wire
from=
"(1920,150)"
to=
"(1920,250)"
/>
<wire
from=
"(1750,740)"
to=
"(1910,740)"
/>
<wire
from=
"(1940,390)"
to=
"(2030,390)"
/>
<wire
from=
"(1090,640)"
to=
"(1110,640)"
/>
<wire
from=
"(1170,600)"
to=
"(1190,600)"
/>
<wire
from=
"(1340,190)"
to=
"(1340,210)"
/>
<wire
from=
"(1710,390)"
to=
"(1710,480)"
/>
<wire
from=
"(650,240)"
to=
"(770,240)"
/>
<wire
from=
"(930,320)"
to=
"(930,460)"
/>
<wire
from=
"(840,150)"
to=
"(960,150)"
/>
<wire
from=
"(1090,180)"
to=
"(1090,200)"
/>
<wire
from=
"(840,190)"
to=
"(960,190)"
/>
<wire
from=
"(1280,430)"
to=
"(1280,580)"
/>
<wire
from=
"(1120,430)"
to=
"(1120,580)"
/>
<wire
from=
"(830,270)"
to=
"(1080,270)"
/>
<wire
from=
"(1170,230)"
to=
"(1170,450)"
/>
<wire
from=
"(1930,160)"
to=
"(1930,300)"
/>
<wire
from=
"(990,160)"
to=
"(1090,160)"
/>
<wire
from=
"(990,200)"
to=
"(1090,200)"
/>
<wire
from=
"(1110,230)"
to=
"(1170,230)"
/>
<wire
from=
"(1450,620)"
to=
"(1640,620)"
/>
<wire
from=
"(1280,170)"
to=
"(1280,430)"
/>
<wire
from=
"(1090,140)"
to=
"(1090,150)"
/>
<wire
from=
"(1740,180)"
to=
"(1800,180)"
/>
<wire
from=
"(1140,470)"
to=
"(1190,470)"
/>
<wire
from=
"(1390,660)"
to=
"(1390,840)"
/>
<wire
from=
"(690,340)"
to=
"(690,380)"
/>
<wire
from=
"(1920,150)"
to=
"(2050,150)"
/>
<wire
from=
"(1750,860)"
to=
"(1830,860)"
/>
<wire
from=
"(1770,160)"
to=
"(1850,160)"
/>
<wire
from=
"(1840,150)"
to=
"(1920,150)"
/>
<wire
from=
"(1850,160)"
to=
"(1930,160)"
/>
<wire
from=
"(1740,150)"
to=
"(1750,150)"
/>
<wire
from=
"(2030,390)"
to=
"(2030,760)"
/>
<wire
from=
"(930,120)"
to=
"(960,120)"
/>
<wire
from=
"(860,330)"
to=
"(890,330)"
/>
<wire
from=
"(880,250)"
to=
"(880,310)"
/>
<wire
from=
"(860,330)"
to=
"(860,510)"
/>
<wire
from=
"(1340,210)"
to=
"(1340,640)"
/>
<wire
from=
"(930,320)"
to=
"(1390,320)"
/>
<wire
from=
"(690,440)"
to=
"(770,440)"
/>
<wire
from=
"(1170,450)"
to=
"(1190,450)"
/>
<wire
from=
"(990,180)"
to=
"(1070,180)"
/>
<wire
from=
"(1260,580)"
to=
"(1280,580)"
/>
<wire
from=
"(1810,760)"
to=
"(2030,760)"
/>
<wire
from=
"(1390,320)"
to=
"(1390,660)"
/>
<wire
from=
"(1880,180)"
to=
"(1880,210)"
/>
<wire
from=
"(620,90)"
to=
"(800,90)"
/>
<wire
from=
"(680,510)"
to=
"(860,510)"
/>
<wire
from=
"(1090,490)"
to=
"(1090,640)"
/>
<wire
from=
"(1170,450)"
to=
"(1170,600)"
/>
<wire
from=
"(1760,220)"
to=
"(1760,240)"
/>
<wire
from=
"(1280,580)"
to=
"(1470,580)"
/>
<wire
from=
"(1960,180)"
to=
"(2010,180)"
/>
<wire
from=
"(2100,620)"
to=
"(2100,800)"
/>
<wire
from=
"(1470,500)"
to=
"(1790,500)"
/>
<wire
from=
"(1670,170)"
to=
"(1720,170)"
/>
<wire
from=
"(2030,320)"
to=
"(2030,390)"
/>
<wire
from=
"(1070,170)"
to=
"(1070,180)"
/>
<wire
from=
"(2040,160)"
to=
"(2040,290)"
/>
<wire
from=
"(1390,840)"
to=
"(1830,840)"
/>
<wire
from=
"(1780,270)"
to=
"(1780,340)"
/>
<wire
from=
"(830,240)"
to=
"(850,240)"
/>
<wire
from=
"(1060,440)"
to=
"(1070,440)"
/>
<wire
from=
"(690,380)"
to=
"(710,380)"
/>
<wire
from=
"(1800,180)"
to=
"(1800,240)"
/>
<wire
from=
"(800,240)"
to=
"(830,240)"
/>
<wire
from=
"(2010,180)"
to=
"(2010,290)"
/>
<wire
from=
"(1110,270)"
to=
"(1140,270)"
/>
<wire
from=
"(1600,740)"
to=
"(1750,740)"
/>
<wire
from=
"(1470,230)"
to=
"(1500,230)"
/>
<wire
from=
"(1340,190)"
to=
"(1500,190)"
/>
<wire
from=
"(1810,820)"
to=
"(1830,820)"
/>
<wire
from=
"(1060,210)"
to=
"(1340,210)"
/>
<wire
from=
"(1060,230)"
to=
"(1080,230)"
/>
<wire
from=
"(1260,430)"
to=
"(1280,430)"
/>
<wire
from=
"(1710,390)"
to=
"(1940,390)"
/>
<wire
from=
"(1340,640)"
to=
"(1640,640)"
/>
<wire
from=
"(1600,680)"
to=
"(1640,680)"
/>
<wire
from=
"(770,240)"
to=
"(770,250)"
/>
<wire
from=
"(1920,270)"
to=
"(1920,300)"
/>
<wire
from=
"(840,170)"
to=
"(960,170)"
/>
<wire
from=
"(840,130)"
to=
"(960,130)"
/>
<wire
from=
"(1060,210)"
to=
"(1060,230)"
/>
<wire
from=
"(1910,460)"
to=
"(1910,740)"
/>
<wire
from=
"(830,260)"
to=
"(830,270)"
/>
<wire
from=
"(1140,470)"
to=
"(1140,620)"
/>
<wire
from=
"(1670,170)"
to=
"(1670,520)"
/>
<wire
from=
"(1390,210)"
to=
"(1500,210)"
/>
<wire
from=
"(870,90)"
to=
"(930,90)"
/>
<wire
from=
"(770,440)"
to=
"(960,440)"
/>
<wire
from=
"(1950,170)"
to=
"(1950,250)"
/>
<wire
from=
"(1810,600)"
to=
"(1810,620)"
/>
<wire
from=
"(1670,520)"
to=
"(1790,520)"
/>
<wire
from=
"(1450,800)"
to=
"(1830,800)"
/>
<wire
from=
"(990,140)"
to=
"(1090,140)"
/>
<wire
from=
"(1740,170)"
to=
"(1790,170)"
/>
<wire
from=
"(1450,170)"
to=
"(1450,620)"
/>
<wire
from=
"(830,230)"
to=
"(1060,230)"
/>
<wire
from=
"(800,90)"
to=
"(800,240)"
/>
<wire
from=
"(770,310)"
to=
"(770,390)"
/>
<wire
from=
"(1790,170)"
to=
"(1790,240)"
/>
<wire
from=
"(1940,330)"
to=
"(1940,390)"
/>
<wire
from=
"(1450,620)"
to=
"(1450,800)"
/>
<wire
from=
"(690,400)"
to=
"(690,440)"
/>
<wire
from=
"(1190,580)"
to=
"(1200,580)"
/>
<wire
from=
"(1960,180)"
to=
"(1960,300)"
/>
<wire
from=
"(1840,150)"
to=
"(1840,210)"
/>
<wire
from=
"(1950,170)"
to=
"(2020,170)"
/>
<wire
from=
"(1810,760)"
to=
"(1810,820)"
/>
<wire
from=
"(740,390)"
to=
"(770,390)"
/>
<wire
from=
"(1710,480)"
to=
"(1790,480)"
/>
<wire
from=
"(1830,820)"
to=
"(1840,820)"
/>
<wire
from=
"(1120,580)"
to=
"(1190,580)"
/>
<wire
from=
"(1750,220)"
to=
"(1760,220)"
/>
<wire
from=
"(930,460)"
to=
"(960,460)"
/>
<wire
from=
"(1840,230)"
to=
"(1840,270)"
/>
<wire
from=
"(1880,230)"
to=
"(1880,270)"
/>
<wire
from=
"(1870,170)"
to=
"(1870,270)"
/>
<wire
from=
"(1390,210)"
to=
"(1390,320)"
/>
<wire
from=
"(880,310)"
to=
"(890,310)"
/>
<wire
from=
"(1750,150)"
to=
"(1840,150)"
/>
<wire
from=
"(1070,170)"
to=
"(1090,170)"
/>
<comp
lib=
"1"
loc=
"(1750,220)"
name=
"NOT Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"20"
/>
</comp>
<comp
lib=
"0"
loc=
"(1070,440)"
name=
"Splitter"
>
<a
name=
"fanout"
val=
"4"
/>
<a
name=
"incoming"
val=
"4"
/>
<a
name=
"appear"
val=
"center"
/>
</comp>
<comp
lib=
"0"
loc=
"(1720,170)"
name=
"Splitter"
>
<a
name=
"fanout"
val=
"4"
/>
<a
name=
"incoming"
val=
"4"
/>
<a
name=
"appear"
val=
"center"
/>
</comp>
<comp
lib=
"0"
loc=
"(840,190)"
name=
"Pin"
/>
<comp
loc=
"(1260,580)"
name=
"RamV2"
/>
<comp
loc=
"(1260,430)"
name=
"RamV2"
/>
<comp
lib=
"1"
loc=
"(1950,270)"
name=
"NOT Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"20"
/>
</comp>
<comp
loc=
"(1670,170)"
name=
"Schieberegiesterv2"
>
<a
name=
"label"
val=
"OP"
/>
</comp>
<comp
lib=
"5"
loc=
"(650,240)"
name=
"Button"
>
<a
name=
"label"
val=
"RUN"
/>
</comp>
<comp
lib=
"1"
loc=
"(1760,440)"
name=
"NOT Gate"
>
<a
name=
"facing"
val=
"south"
/>
</comp>
<comp
lib=
"0"
loc=
"(840,150)"
name=
"Pin"
/>
<comp
loc=
"(1060,440)"
name=
"Counterv2"
/>
<comp
lib=
"4"
loc=
"(750,250)"
name=
"J-K Flip-Flop"
/>
<comp
lib=
"1"
loc=
"(880,250)"
name=
"OR Gate"
>
<a
name=
"size"
val=
"30"
/>
</comp>
<comp
lib=
"1"
loc=
"(920,320)"
name=
"AND Gate"
>
<a
name=
"size"
val=
"30"
/>
</comp>
<comp
lib=
"1"
loc=
"(870,90)"
name=
"NOT Gate"
/>
<comp
lib=
"1"
loc=
"(740,390)"
name=
"OR Gate"
>
<a
name=
"size"
val=
"30"
/>
</comp>
<comp
lib=
"1"
loc=
"(2030,320)"
name=
"AND Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"30"
/>
<a
name=
"inputs"
val=
"4"
/>
<a
name=
"label"
val=
"out_r"
/>
</comp>
<comp
lib=
"0"
loc=
"(1110,430)"
name=
"Splitter"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"fanout"
val=
"3"
/>
<a
name=
"incoming"
val=
"3"
/>
<a
name=
"appear"
val=
"center"
/>
</comp>
<comp
loc=
"(1860,460)"
name=
"Alu"
/>
<comp
lib=
"1"
loc=
"(1110,230)"
name=
"NOT Gate"
/>
<comp
lib=
"5"
loc=
"(2100,620)"
name=
"Hex Digit Display"
/>
<comp
lib=
"1"
loc=
"(1130,640)"
name=
"NOT Gate"
>
<a
name=
"size"
val=
"20"
/>
</comp>
<comp
lib=
"1"
loc=
"(1840,230)"
name=
"NOT Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"20"
/>
</comp>
<comp
lib=
"0"
loc=
"(680,510)"
name=
"Clock"
/>
<comp
lib=
"0"
loc=
"(840,130)"
name=
"Pin"
/>
<comp
lib=
"1"
loc=
"(1920,270)"
name=
"NOT Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"20"
/>
</comp>
<comp
lib=
"1"
loc=
"(1940,330)"
name=
"AND Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"30"
/>
<a
name=
"inputs"
val=
"4"
/>
<a
name=
"label"
val=
"B"
/>
</comp>
<comp
lib=
"1"
loc=
"(1780,270)"
name=
"AND Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"30"
/>
<a
name=
"inputs"
val=
"4"
/>
<a
name=
"label"
val=
"HLT"
/>
</comp>
<comp
lib=
"10"
loc=
"(990,120)"
name=
"DIP_74244"
/>
<comp
lib=
"1"
loc=
"(1860,300)"
name=
"AND Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"30"
/>
<a
name=
"inputs"
val=
"4"
/>
<a
name=
"label"
val=
"sub"
/>
</comp>
<comp
lib=
"5"
loc=
"(640,400)"
name=
"Button"
>
<a
name=
"label"
val=
"RESET"
/>
</comp>
<comp
lib=
"0"
loc=
"(620,90)"
name=
"Pin"
>
<a
name=
"label"
val=
"LOAD"
/>
</comp>
<comp
loc=
"(1810,620)"
name=
"Schieberegiesterv2"
>
<a
name=
"label"
val=
"Accu"
/>
</comp>
<comp
lib=
"1"
loc=
"(1110,270)"
name=
"NOT Gate"
/>
<comp
lib=
"1"
loc=
"(1880,230)"
name=
"NOT Gate"
>
<a
name=
"facing"
val=
"south"
/>
<a
name=
"size"
val=
"20"
/>
</comp>
<comp
lib=
"0"
loc=
"(1110,170)"
name=
"Splitter"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"fanout"
val=
"4"
/>
<a
name=
"incoming"
val=
"4"
/>
<a
name=
"appear"
val=
"center"
/>
</comp>
<comp
lib=
"0"
loc=
"(840,170)"
name=
"Pin"
/>
<comp
loc=
"(2000,800)"
name=
"Schieberegiesterv2"
>
<a
name=
"label"
val=
"outr"
/>
</comp>
</circuit>
<circuit
name=
"RamV2"
>
<a
name=
"circuit"
val=
"RamV2"
/>
<a
name=
"clabel"
val=
""
/>
<a
name=
"clabelup"
val=
"east"
/>
<a
name=
"clabelfont"
val=
"SansSerif bold 16"
/>
<a
name=
"circuitnamedbox"
val=
"true"
/>
<a
name=
"circuitvhdlpath"
val=
""
/>
<wire
from=
"(680,410)"
to=
"(700,410)"
/>
<wire
from=
"(330,350)"
to=
"(350,350)"
/>
<wire
from=
"(410,340)"
to=
"(430,340)"
/>
<wire
from=
"(230,240)"
to=
"(230,280)"
/>
<wire
from=
"(420,370)"
to=
"(420,380)"
/>
<wire
from=
"(180,240)"
to=
"(230,240)"
/>
<wire
from=
"(230,280)"
to=
"(410,280)"
/>
<wire
from=
"(420,380)"
to=
"(430,380)"
/>
<wire
from=
"(350,350)"
to=
"(350,370)"
/>
<wire
from=
"(330,390)"
to=
"(430,390)"
/>
<wire
from=
"(410,280)"
to=
"(410,340)"
/>
<wire
from=
"(120,410)"
to=
"(250,410)"
/>
<wire
from=
"(120,370)"
to=
"(250,370)"
/>
<wire
from=
"(120,330)"
to=
"(250,330)"
/>
<wire
from=
"(350,370)"
to=
"(420,370)"
/>
<comp
lib=
"0"
loc=
"(120,330)"
name=
"Pin"
>
<a
name=
"label"
val=
"WE"
/>
</comp>
<comp
lib=
"13"
loc=
"(250,410)"
name=
"ram_vorschaltung"
/>
<comp
lib=
"0"
loc=
"(700,410)"
name=
"Pin"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"output"
val=
"true"
/>
<a
name=
"width"
val=
"4"
/>
<a
name=
"labelloc"
val=
"east"
/>
</comp>
<comp
lib=
"4"
loc=
"(430,330)"
name=
"RAM"
>
<a
name=
"addrWidth"
val=
"3"
/>
<a
name=
"dataWidth"
val=
"4"
/>
<a
name=
"trigger"
val=
"high"
/>
</comp>
<comp
lib=
"0"
loc=
"(180,240)"
name=
"Pin"
>
<a
name=
"width"
val=
"3"
/>
</comp>
<comp
lib=
"0"
loc=
"(120,370)"
name=
"Pin"
>
<a
name=
"label"
val=
"OE"
/>
</comp>
<comp
lib=
"0"
loc=
"(120,410)"
name=
"Pin"
>
<a
name=
"label"
val=
"CS"
/>
</comp>
</circuit>
<circuit
name=
"Counterv2"
>
<a
name=
"circuit"
val=
"Counterv2"
/>
<a
name=
"clabel"
val=
""
/>
<a
name=
"clabelup"
val=
"east"
/>
<a
name=
"clabelfont"
val=
"SansSerif bold 16"
/>
<a
name=
"circuitnamedbox"
val=
"true"
/>
<a
name=
"circuitvhdlpath"
val=
""
/>
<wire
from=
"(160,100)"
to=
"(160,150)"
/>
<wire
from=
"(350,240)"
to=
"(490,240)"
/>
<wire
from=
"(80,100)"
to=
"(160,100)"
/>
<wire
from=
"(80,210)"
to=
"(160,210)"
/>
<comp
lib=
"4"
loc=
"(160,130)"
name=
"Counter"
>
<a
name=
"width"
val=
"4"
/>
<a
name=
"max"
val=
"0xf"
/>
</comp>
<comp
lib=
"0"
loc=
"(80,100)"
name=
"Pin"
>
<a
name=
"label"
val=
"R"
/>
</comp>
<comp
lib=
"0"
loc=
"(490,240)"
name=
"Pin"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"output"
val=
"true"
/>
<a
name=
"width"
val=
"4"
/>
<a
name=
"labelloc"
val=
"east"
/>
</comp>
<comp
lib=
"0"
loc=
"(80,210)"
name=
"Pin"
>
<a
name=
"label"
val=
"C"
/>
</comp>
</circuit>
<circuit
name=
"Schieberegiesterv2"
>
<a
name=
"circuit"
val=
"Schieberegiesterv2"
/>
<a
name=
"clabel"
val=
""
/>
<a
name=
"clabelup"
val=
"east"
/>
<a
name=
"clabelfont"
val=
"SansSerif bold 16"
/>
<a
name=
"circuitnamedbox"
val=
"true"
/>
<a
name=
"circuitvhdlpath"
val=
""
/>
<wire
from=
"(200,290)"
to=
"(390,290)"
/>
<wire
from=
"(560,370)"
to=
"(560,380)"
/>
<wire
from=
"(510,380)"
to=
"(560,380)"
/>
<wire
from=
"(220,340)"
to=
"(220,350)"
/>
<wire
from=
"(320,360)"
to=
"(320,370)"
/>
<wire
from=
"(360,390)"
to=
"(360,400)"
/>
<wire
from=
"(560,370)"
to=
"(600,370)"
/>
<wire
from=
"(350,400)"
to=
"(350,420)"
/>
<wire
from=
"(600,390)"
to=
"(600,420)"
/>
<wire
from=
"(230,300)"
to=
"(230,320)"
/>
<wire
from=
"(240,320)"
to=
"(240,340)"
/>
<wire
from=
"(590,380)"
to=
"(590,400)"
/>
<wire
from=
"(320,390)"
to=
"(360,390)"
/>
<wire
from=
"(350,420)"
to=
"(390,420)"
/>
<wire
from=
"(510,420)"
to=
"(600,420)"
/>
<wire
from=
"(510,360)"
to=
"(600,360)"
/>
<wire
from=
"(200,320)"
to=
"(230,320)"
/>
<wire
from=
"(230,300)"
to=
"(390,300)"
/>
<wire
from=
"(360,400)"
to=
"(390,400)"
/>
<wire
from=
"(320,400)"
to=
"(350,400)"
/>
<wire
from=
"(280,390)"
to=
"(300,390)"
/>
<wire
from=
"(240,320)"
to=
"(390,320)"
/>
<wire
from=
"(220,340)"
to=
"(240,340)"
/>
<wire
from=
"(200,350)"
to=
"(220,350)"
/>
<wire
from=
"(510,400)"
to=
"(590,400)"
/>
<wire
from=
"(620,380)"
to=
"(630,380)"
/>
<wire
from=
"(590,380)"
to=
"(600,380)"
/>
<wire
from=
"(320,360)"
to=
"(390,360)"
/>
<wire
from=
"(320,380)"
to=
"(390,380)"
/>
<comp
lib=
"0"
loc=
"(630,380)"
name=
"Pin"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"output"
val=
"true"
/>
<a
name=
"width"
val=
"4"
/>
<a
name=
"labelloc"
val=
"east"
/>
</comp>
<comp
lib=
"0"
loc=
"(200,320)"
name=
"Pin"
>
<a
name=
"label"
val=
"Load"
/>
</comp>
<comp
lib=
"0"
loc=
"(620,380)"
name=
"Splitter"
>
<a
name=
"facing"
val=
"west"
/>
<a
name=
"fanout"
val=
"4"
/>
<a
name=
"incoming"
val=
"4"
/>
<a
name=
"appear"
val=
"center"
/>
</comp>
<comp
lib=
"0"
loc=
"(300,390)"
name=
"Splitter"
>
<a
name=
"fanout"
val=
"4"
/>
<a
name=
"incoming"
val=
"4"
/>
<a
name=
"appear"
val=
"center"
/>
</comp>
<comp
lib=
"4"
loc=
"(390,270)"
name=
"Shift Register"
>
<a
name=
"length"
val=
"4"
/>
</comp>
<comp
lib=
"0"
loc=
"(200,350)"
name=
"Pin"
>
<a
name=
"label"
val=
"C"
/>
</comp>
<comp
lib=
"0"
loc=
"(200,290)"
name=
"Pin"
>
<a
name=
"label"
val=
"R"
/>
</comp>
<comp
lib=
"0"
loc=
"(280,390)"
name=
"Pin"
>
<a
name=
"width"
val=
"4"
/>
</comp>
</circuit>
<circuit
name=
"Alu"
>
<a
name=
"circuit"
val=
"Alu"
/>
<a
name=
"clabel"
val=
""
/>